You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

21 lines
326 B
C

/*
* UART2.h
*
* Created: 25/11/2021 16:25:59
* Author: n0x
*/
#include <avr/io.h>
#include <avr/interrupt.h>
#ifndef UART2_H_
#define UART2_H_
void uart_init(void);
void uart_send_byte(char c);
void uart_send_string(char* string);
uint8_t uart_data_available(void);
char uart_get_data(void);
#endif /* UART2_H_ */